ZedBoard shift Light by Mulit IP
1、Create First Project
Project Name : “divider_module” -> Create SystemVerilg : divider.sv -> Create constraints : divider_ooc.xdc -> Choose “Boards / ZedBoard Zynq Evaluation and Development Kit” -> Finish
Edit divider.sv
1 | module divider( |
Edit divider_ooc.xdc
1 | create_clock -period 8.000 -name sys_clk_pin -waveform {0.000 4.000} -add [get_ports clk] |
Out-of-Context XDC files setting
Package the frequency divider IP
Close divider_module Project
2、Create Second Project
Project Name : “blinky_module” -> Create SystemVerilg : blinky.sv -> Create constraints : blinky.xdc , blinky_ooc.xdc -> Choose “Boards / ZedBoard Zynq Evaluation and Development Kit” -> Finish
Edit blinky.sv
1 | module blinky( |
Edit blinky.xdc
1 | set_input_delay 0.1 [get_ports {sw[1] sw[0] rst}]; |
Edit blinky_ooc.xdc
1 | create_clock -period 8.000 -name sys_clk_pin -waveform {0.000 4.000} -add [get_ports clk] |
Following is like the last project : Out-of-Context XDC files setting -> Create and Package New IP -> Package your current project -> edit Ports and Interfaces -> Package IP
Close blinky_module Project
3、Create top Project
Project Name : “top” -> Next -> Choose “Boards / ZedBoard Zynq Evaluation and Development Kit” -> Finish
Include divide IP, Path :”Your path”\divider_module\divider_module.srcs
Include blinky IP, Path :”Your path”\blinky_module\blinky_module.srcs
Create Block Design
Create Port and connect module
Run Synthesis -> Run Implementation -> Open Implementation
top.xdc
1 | set_property PACKAGE_PIN U14 [get_ports {led[3]}] |